view Small_CPU/Inc/uart.h @ 616:a594a778c883

Development bugfix: show replay symbol only for the selected dive: In the previous version the replay icon was shown in all logs, independend if the entry was the selected one or not. This has been fixed now.
author Ideenmodellierer
date Wed, 27 Jan 2021 21:58:46 +0100
parents 5f11787b4f42
children 1b995079c045
line wrap: on
line source

/**
  ******************************************************************************
  * @file    uart.h
  * @author  heinrichs weikamp gmbh
  * @version V0.0.1
  * @date    27-March-2014
  * @brief   button control
  *           
  ******************************************************************************
  * @attention
  *
  * <h2><center>&copy; COPYRIGHT(c) 2015 heinrichs weikamp</center></h2>
  *
  ******************************************************************************
  */ 

/* Define to prevent recursive inclusion -------------------------------------*/
#ifndef UART_H
#define UART_H

#ifdef __cplusplus
 extern "C" {
#endif

#include "stm32f4xx_hal.h"

void MX_USART2_UART_Init(void);
void MX_USART2_UART_DeInit(void);
uint8_t UART_ButtonAdjust(uint8_t *array);


#ifdef __cplusplus
}
#endif

#endif /* UART_H */

/************************ (C) COPYRIGHT heinrichs weikamp *****END OF FILE****/